module Indicator title 'Indicator' "Version 2: This module provides an output that turns on for one clock period in response" "to a pulse on its input during the previous clock period. If there is one pulse every" "clock period, the output will be asserted always. On RST, the lamp is always turned on," "so that resetting the logic allows us to test the lamp." declarations CK pin; "A slow clock for flashing a lamp, say 2KHz." RST pin; "A reset signal to test the lamp." FLASH pin; "A pulse on this input will cause the lamp to flash." ON pin istype 'reg'; "The output to an LED or a transistor." ON_A node istype 'reg'; "A buried node." equations ON_A.clk = CK; ON_A.ap = FLASH; ON_A := 0; ON.clk = CK; ON.ap = RST; ON := ON_A; end